CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dual port RAM

搜索资源列表

  1. dualportramtest

    0下载:
  2. 采用两片AT89S51对双口RAM IDT7132测试程序,采用串口助手显示接收内容。-AT89S51 using two dual-port RAM IDT7132 of testing procedures, the use of serial port to receive the contents of aides show.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:8064
    • 提供者:王伟
  1. testRAMWR

    0下载:
  2. 这是一个用VHDL编写的读写双口RAM的程序.-This is a work written in VHDL to read and write dual-port RAM program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068406
    • 提供者:chenye
  1. MCU

    0下载:
  2. MCU控制双口RAM,并与PC机进行数据交换-MCU control of dual-port RAM, and data exchange with PC,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1377194
    • 提供者:
  1. sram

    0下载:
  2. 单片机写双口RAM,包括读写是否一致的自动检测-Microcontroller to write dual-port RAM, including the automatic detection of the consistency of read and write
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1596
    • 提供者:孙殿举
  1. dual_RAM

    0下载:
  2. vhdl语言编写的双口ram及testbench,模块可以在modelsim里进行时序和功能仿真。-vhdl language of the dual-port ram, and testbench, modules, conducted in the modelsim timing and functional simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1279
    • 提供者:易凯
  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. verilog_RAM

    0下载:
  2. verilog 实现的一个双口RAM及其控制模块.我通过先存入64个数据在读出仿真通过。-verilog implementation of a dual-port RAM.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1269
    • 提供者:世海
  1. USBMeasureAndControl

    0下载:
  2. 基于USB的数据采集系统,使用两个8051控制和双端口RAM,包含电路设计原理图-USB-based data acquisition system, using two 8051 control and dual-port RAM, including circuit schematics
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:229404
    • 提供者:陈成军
  1. Example-b4-1

    1下载:
  2. Altera基本宏功能的产生和实现方法.定制一个双端口RAM,DualPortRAM,Quartus II仿真器中做门级仿真,在ModelSim中对这个工程进行RTL级仿真.-Altera basic macro functionality of the generation and realization. Customize a dual-port RAM, DualPortRAM, Quartus II simulator to do gate level simulation, on t
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:303319
    • 提供者:Gorce
  1. ram_dp_sr_sw

    0下载:
  2. dual ram port in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:815
    • 提供者:sayhaa
  1. equivalent_sample

    0下载:
  2. 基于FPGA的等效采样系统设计,包含状态机设计,双口ram使用方法,分频设计等-FPGA-based equivalent sampling system design, including the state machine design, dual-port ram usage, frequency design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2926075
    • 提供者:陈燕凯
  1. DualPortRam

    0下载:
  2. A systemc implementation of dual port ram module. A vcd file as the sample result is also included. There is a generator for reading/writing data from/to the two ports of the RAM, the tracing of which is offered using the sc_trace API.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-29
    • 文件大小:6659
    • 提供者:鲁克文
  1. DU-RAM

    0下载:
  2. 本程序是一个双口RAM的读写程序,在很多工程中,特别是存储器中运用广泛-This program is a dual-port RAM read and write procedures, in many projects, especially the extensive use of memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:15256
    • 提供者:jiankang
  1. ram

    0下载:
  2. 利用verilog实现的双口RAM。文件包含工程文件,仿真文件,使用方便。-Using verilog implementation of dual-port RAM. File contains the project files, simulation files, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:219429
    • 提供者:sue
  1. dppramm

    0下载:
  2. 基于fpga的双口ram的设计与实现,好东西,希望大家喜欢-The dual-port ram fpga based design and implementation of good things, hope you like
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1924136
    • 提供者:
  1. Port-RAMs

    0下载:
  2. 介绍双口ram功能,进一步了解在fpga上怎么设计一个双口ram-Introduced the dual-port ram function to learn more about the fpga on how to design a dual port ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:352756
    • 提供者:吴越强
  1. ram

    0下载:
  2. 此文档为fpga控制双口RAM的开发文档,讲解很细,易于上手.双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对其进行随机性访问的存储器,即共享式多端口存储器。-This document is controlled dual-port RAM fpga development documents, explain very small, easy to use. Dual-port RAM is an SRAM memory has tw
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:210503
    • 提供者:uodsi
  1. ram

    0下载:
  2. 练习调用双口ram,fpga自产生65536个递增数,6.25Hz输出,在20ms内读出。-Exercises called dual port ram, fpga increasing number of self-produced 65536, 6.25Hz output within 20ms readout.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2059283
    • 提供者:王王
  1. RAM

    1下载:
  2. Nios ii双口ram,用于MCU通过nios ii进行双口ram通信,verilog格式.-Nios II dual port RAM, for MCU dual port RAM communication, through the Nios II Verilog format.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2299
    • 提供者:刘泽
  1. DULE-RAM

    0下载:
  2. 基于VERILOG的双口ram例子,比较简单,不是很复杂,入门了解就可以了。-Based on dual port ram VERILOG example, the relatively simple, not very complicated, entry understand it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:101149
    • 提供者:张是非
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com